linux、win系统搭建iverilog仿真环境

目录

简介

iverilog是一款轻量化的开源verilog仿真工具,适合在开发过程中对子模块快速仿真调试。但是,由于相关资料少,很多新人被环境搭建和无图形化界面劝退了。在这里,我将介绍linux、win系统安装iverilog最简单最稳定的方式。
此外,也有人为iverilog图形化工具而努力。
我所发布的开源工程,都配置好了双平台的仿真脚本,大家可以参考

win平台

~源码编译安装是不可能的,太麻烦了~
windows平台有现成的安装包 下载
强烈推荐下载iverilog-v11-20210204-x64版本,v11是iverilog从能用到好用的分水岭。
安装包虽然自带gtkwave(波形查看工具),但是好像有点问题,可以替换老版gtkwwave
进入网页后,选择gtkwave-3.3.100-bin-win32/64,下载,解压,替换iverilog安装目录下的gtkwave文件夹。注意:替换文件夹要先删除再放入,如果解压后的文件夹名是gtkwave64,则必须改成gtkwave。
替换gtkwave

linux平台

linux平台用apt包管理器安装虽然简单,但是iverilog版本基本都低于11,bug多,因此推荐源码编译安装。
在这里我已经总结好了安装命令,只需要进入一个空文件夹,然后:

sudo apt install make git python3 gtkwave gcc g++ bison flex gperf autoconf
git clone -b v11_0 --depth=1 https://gitee.com/xiaowuzxc/iverilog/
cd iverilog
sh autoconf.sh
./configure
make
sudo make install
cd ..
rm -rf iverilog/

打赏?

取消

不用哦

扫码支持
这里只有暗黑赛钱箱

深邃♂黑暗♂幻想

>